Keysight представляет Chiplet PHY Designer для моделирования D2D в D2D PHY IP с поддержкой стандарта UCIe

Keysight

Keysight Technologies, Inc. (NYSE: KEYS) представляет Chiplet PHY Designer , новейшую модель в своем семействе высокоскоростных инструментов цифрового проектирования и моделирования, которая обеспечивает моделирование соединений между кристаллами (D2D), что является ключевым шагом в проверке производительности для гетерогенных и трехмерных интегральных схем (ИС), обычно называемых чиплетами. Новый инструмент автоматизации электронного проектирования (EDA) является первым в отрасли, предоставляющим возможности углубленного моделирования и симуляции, которые позволяют разработчикам микросхем быстро и точно проверять соответствие их проектов спецификациям стандарта Universal Chiplet Interconnect Express™ (UCIe™). 

Ключевые особенности симулятора физического уровня Chiplet PHY Designer включают в себя:

  • Поддерживает стандарт физического уровня UCIe — автоматический анализ сигналов в соответствии со стандартными соглашениями об именах, автоматические соединения между несколькими кристаллами через межкомпонентные соединения, стандартные настройки моделирования, такие как класс скорости, и интуитивно понятную настройку измерений с помощью специализированного компонента датчика.
  • Измерение функции передачи напряжения (VTF) — точно вычисляет VTF для обеспечения соответствия спецификации UCIe и анализирует частоту ошибок по битам системы (BER) до уровней 1e-27 или 1e-32. Измеряет высоту глазковой диаграммы, ширину глазка, перекос, границу маски и контур BER.
  • Анализ переадресованной синхронизации для точного определения поведения асинхронной синхронизации.
АЛПРЕЙ